Insert:    
Visibility:     Module:   

Myfab

Realize your nano vision

All tools

Resources at your disposal

The Electrum Laboratory maintains a multitude of laboratories, covering most aspects of nano and microtechnology. In our flexible facilities both single samples and batches are equally welcome. We handle separate steps to full sequences in fabrication and characterization. We offer access to sophisticated software for calculation and simulation. Our personnel are available to help you to master the wide world of nano and microtechnology.

Full process lines and characterization resources

In our cleanroom we maintain full process lines for Silicon CMOS, MEMS and compound semiconductors, including GaAs, InP and SiC. We also offer access to a wide variety of techniques for characterization of materials and devices.

Click on heading to sort the table.
(Extract from LIMS)
 NameManufacturerModelCategoryArea nameCurrent toolratetype name
DetailsWet-ChemistryWet chemistryIntroduktionWet process benchesC-Wet ChemistryA
DetailsExternal chemistry labN/AN/AOther processesY-External chemistry labA
Details5269 DS-1burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
Details5269 DS-2burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
Details5269 DS-3burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
Details5269 DS-4burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
Details5269 DS-5burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
Details5269 DS-6burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
Details5269 DS-7burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
DetailsPlasmatvätt PicoDiener PICO RFLow pressure plasma etcherDry etchingC-HybridiseringB
DetailsFH MS OlympusLabrum klimatLAFDevice mountingC-HybridiseringA
DetailsFH MS NikonLabrum klimatLAFDevice mountingC-HybridiseringA
DetailsSSE SprayetsSSESprayetsWet process benchesC-HybridiseringA
DetailsRIEPlasmalab System 100RIE of thin films (Si3N4 & SiO2)Dry etchingC-Si-metalD
DetailsICPSTSICP DRIE (Si, SiO2)Dry etchingC-Si-metalD
DetailsKDFKDF844GTThin film depositionC-Metal-III/VD
DetailsFiber annealÅngpaneföreningenSpecial designOther processesC-APLA
DetailsDisco-sawDiscoDAD3241SawingC-DISCO sawD
DetailsDisco cleanerDiscoDCS1441SawingC-DISCO sawB
DetailsLab supportELABVirtual toolOther processesVirtualA
DetailsArielOxford Plasma TechnologyPlasmalab80Plus (Oxford RIE System)Dry etchingC-Q-EtchD
DetailsM07 Olympus/cameraOlympusMicroscopeSurface analysis & TEMC-Q-EtchA
DetailsR/D 3VerteqVerteqWet process benchesC-Q-EtchA
DetailsFH III/VPM PlastDragskåpWet process benchesC-Q-EtchA
DetailsEmmaKarl SussMA6/BA6LithographyC-Gul 1C
DetailsBonderKarl SussCB8 Substrate BonderDevice mountingC-Gul 1C
DetailsKarl SussKarl SussMask aligner MA8/BA8LithographyC-Gul 1C
DetailsGallusOxford InstrumentICP380 Etch System (GaAs & InP)Dry etchingC-Q-EtchE
DetailsHMDS 2IMTECStar 2000 (HMDS)LithographyC-Gul 1A
DetailsMaximusSSEMaximus 804LithographyC-Gul 1C
DetailsTegalPlasmalineB300RF Dry etchingC-Q-EtchB
DetailsW2631Wet benchWet bench spray etch (GaAs).Wet process benchesC-Q-EtchA
DetailsW3233Wet benchWet bench lift off.Wet process benchesC-Q-EtchA
DetailsLEITZLEITZ MPV-SPThin film interferometry, MetrologyC-AnnealA
Details4-PointFour Dimensions, IncModel 280MetrologyC-AnnealA
DetailsActivatorCentrothermActivator 150 (SiC anneal)Thermal processesC-AnnealD
DetailsDektakSTDektak3STMetrologyC-APLB
DetailsM02 Olympus/cameraOlympusBX60Surface analysis & TEMC-APLA
DetailsM03 LeicaLeicaMicroscopeSurface analysis & TEMC-APLA
DetailsRinser 1VerteqVerteqWet process benchesC-APLA
DetailsUVO CleanerJelight COmpany, Inc42-220Sample prepC-APLA
DetailsFH APLFume hoodFume hoodWet process benchesC-APLA
DetailsCD SEMHitachiS-3400N & EDS QUANTAX 200Surface analysis & TEMC-SPTSC
DetailsAPL-HMDSYES-5E Vacuum Bake / Vapour Prime Processing SystemLithographyC-APL-gulA
DetailsWetb APL-gulWet benchWet benchWet process benchesC-APL-gulA
DetailsM01 OlympusOlympusBX60MSurface analysis & TEMC-APL-gulA
DetailsRinser 2VerteqVerteqWet process benchesC-APL-gulA
DetailsBake 7NaberthermRamp ableLithographyC-APL-gulA
DetailsFH APL-gulPM PlastDragskåpLithographyC-APL-gulA
DetailsBake 2Bake oven DespatchPre and postprocessing of samplesLithographyC-APL-gulA
DetailsBake 3Bake oven MemmertPre and postprocessing of samplesLithographyC-APL-gulA
DetailsM10 Nikon/autoNikonMicroscope (motorized objectives)Surface analysis & TEMC-SPTSA
DetailsM11 Nikon/CD 1NikonOPTISHOT/LinjebreddsmätareMetrologyC-SPTSA
DetailsALS-stepperGCA/UltratechALS 2035 G-lineLithographyC-APL-ALSE
DetailsNSR i-line stepperNikonTFHi12LithographyC-Gul 1E
DetailsBalanceBalanceVågOther processesC-EpitaxyA
DetailsEpsilon2000 SiGe epitaxyASM200Si-epiEpitaxyC-EpitaxyE
DetailsAS-One RTPAnnealsysAS-OneThermal processesC-AnnealD
DetailsManual probstationSuss Microtech10500006 (probe station)MetrologyC-SPTSA
DetailsQuicksealQuicksealInplastningOther processesC-APLA
DetailsHB16-TPT wire bonderTPTHB16Device mountingY-ES-lab 3390C
DetailsM05 Nikon/autoNikonMicroscopeSurface analysis & TEMY-ES-lab 3390A
DetailsIDPExpertechLPCVD furnaceThin film depositionC-APLC
DetailsP5000 RIE/PECVD clusterApplied MaterialsPrecision 5000 Mark II (Dielectric, MxP, CVD)Dry etchingC-Si-metalD
DetailsEnduraApplied MaterialsPVDThin film depositionC-Si-metalE
DetailsALDBENEQTFS200Thin film depositionC-AnnealD
DetailsUVISEL Spectroscopic EllipsometryHORIBAUVISEL ERMetrologyC-AnnealB
DetailsTeplaTePla300 (Microwave Plasma Asher)Dry etchingC-Q-EtchB
DetailsW34Wet benchWet bench LithografiWet process benchesC-GullanA
DetailsFH Gul3PM PlastDragskåpLithographyC-GullanA
DetailsKyl & frysElectroluxKylskåp/frysOther processesC-GullanA
DetailsWetb SabinaPM Plast2 HP, Developer, SinkWet process benchesC-GullanA
DetailsPekkaPlasmalab80Plus (Oxford PECVD System) Chamber AThin film depositionC-Q-EtchD
DetailsPeoPEOPEO-603 Anneal furnace III/V (ramp)Thermal processesC-Q-EtchB
DetailsEsaOxford Plasma SystemPlasmalab80Plus (Oxford RIE System) Chamber BDry etchingC-Q-EtchD
DetailsFabioOxford InstrumentICP380 Etch SystemDry etchingC-Q-EtchD
DetailsBarbaraProvacPAK 600 Coating SystemThin film depositionC-Metal-III/VD
DetailsIndiraBalzersBA 510 Thermal EvaporatorThin film depositionC-Metal-III/VD
DetailsLabspin80Suss MicrotecLabspin8-BMLithographyC-APL-gulB
DetailsLabspin 82Suss MicrotecLabspin8 BMLithographyC-APL-gulB
DetailsBake 4MemmertU 26LithographyC-APL-gulA
DetailsBake 5MemmertU 26Thermal processesC-APL-gulA
DetailsBake 6DespatchOvenLithographyC-APL-gulA
DetailsYes-ugnYESPolyimide Bake Oven YES-450PB8-2/6-2Thermal processesC-APL-gulC
DetailsBlästerGuyson Europlast4 SF-Select (med inställbar hjöd) samt utsugningsfOther processesY-yttrelabA
DetailsMTPX'DisplayMTP-1002Device mountingC-SPTSC
DetailsAsterixAixtron AIX 200/4MovpeEpitaxyC-Epi-III/VE
DetailsHR X-RayX-RayX-RayMetrologyY-HR X-rayB
DetailsLabRamHR Raman/mikro-PL-systemHoribaLabRamHRMetrologyY-Raman spectroscopyC
DetailsCleanroomElectrumElectrum LaboratoryOther processesVirtualA
DetailsParylene coaterSCSPDS 2010Thin film depositionC-Coherent-WetB
DetailsDisco DAD sawDiscoDAD 320SawingY-External chemistry labB
DetailsESEC Automatic WirebonderESEC3100 plusDevice mountingY-Bonder roomC
DetailsTLE labTanner15.10Other processesN-MeasurementA
Details3D MF ProbestationIn-houseMedium Field MR and RF Probe StationMetrologyY-yttrelabC
DetailsHF ProbestationIn-houseHigh Field RF Characterization StationMetrologyY-yttrelabC
DetailsCanon EOS 350DCanonEOS 350D 100mm MacroSurface analysis & TEMC-AnnealA
DetailsAtomic Absorption SpectrometerThermco FisherThermo iCE 3000MetrologyY-External chemistry labB
DetailsPotentiostat / Galvanostat - ZRAGAMRY InstrumentsVistsShield/Interface 1010MetrologyAlbano Hus 3, 3133A
DetailsFreeze DryerIlshin LabIlshinMetrologyAlbano Hus 3, 3133A
DetailsDifferential scanning calorimetry (DSC)TA Instruments2920 modulated DSCMetrologyAlbano Hus 3, 3133C
DetailsThermogravimetry Analysis (TGA)TA InstrumentsTGA Q500MetrologyAlbano Hus 3, 3133C
DetailsUV-Vis-NIR SpectrometerPerkin ElmerLambda-750MetrologyAlbano Hus 3, 3133B
DetailsRotational ViscometerAnton PaarVisco QC 100MetrologyAlbano Hus 3, 3133A
DetailsM20 MicroscopeNanometricsMicroscopeSample prepAlbano Hus 3, 3133A
DetailsTwo speed grinder-polisherBUEHLERAlphaSample prepNano-Lab 3167A
DetailsPrecision ion polishing systemGatanmodel 691Sample prepNano-Lab 3167B
DetailsFE-TEMJEOLJEM 2100F(HR), JEOL Electron Microscope 2100 FieldSurface analysis & TEMY-SEM labsD
DetailsFIB-SEMFEIQUANTA 3D FEG Surface analysis & TEMY-SEM labsD
DetailsLaboratory ovenHereausBench ovenSample prepY-SEM labsA
DetailsPreparation labCollection of toolsTools for sample preparationSample prepY-SEM labsB
DetailsPlasma cleanerFischionePlasma cleanerSample prepY-SEM labsA
DetailsGold sputter FNMJEOLIon sputter JFC-1100Sample prepY-SEM labsA
DetailsDiamond sawBuehlerIsomet low speed sawSample prepY-SEM labsA
DetailsGrinder-polisherBuehlerVector/AlphaSample prepY-SEM labsA
DetailsUltrasonic disc cutterGatanModel 601Sample prepY-SEM labsA
DetailsDimple grinderGatanDimple grinderSample prepY-SEM labsA
DetailsElectrolyte polishingFischioneElectrolyte polishingSample prepY-SEM labsA
DetailsIon polishingGatanPrecision ion polishing 691Sample prepY-SEM labsB
DetailsGeminiZeiss Ultra 55Surface analysis & TEMY-SEM labsC
DetailsTEK 370ATektronix370AOther processesN-Powerelectronics LabB
DetailsIwatsuIwatsuI-V 5KV 400AOther processesN-Powerelectronics LabB
DetailsKeysightKeysight B5105BOther processesN-Powerelectronics LabB
DetailsDPT DeviceHollander ResearchSiC power discretesOther processesN-Powerelectronics LabA
DetailsDPT-PMHollander ResearchSiC power modulesOther processesN-Powerelectronics LabB
DetailsSCM--Other processesN-Powerelectronics LabB
DetailsCalib--Other processesN-Powerelectronics LabB
DetailsThermal StreamTBDTBDOther processesN-Powerelectronics LabE
DetailsSurge current measurementsTBDTBDOther processesN-Powerelectronics LabE
DetailsRuggedness tests TBDTBDOther processesN-Powerelectronics LabE
DetailsProbestation 3 Semi AutomaticCascade MicrotechCascade 12000MetrologyY-Electrical characterizationB
DetailsProbestation 1 ManualCascade11000MetrologyY-Electrical characterizationA
DetailsSpintronics probestationcustom built Probestation with rotating 1 T electromagnetMetrologyY-Electrical characterizationA
DetailsProbestation 4 High Temp.SignatoneS-1060MetrologyY-Electrical characterizationA
DetailsT1-Oxide 1250CThermco5200Thermal processesC-APLB
DetailsT2-Oxide 1250CThermco5200Thermal processesC-APLB
DetailsT3-Gate oxThermco5200Thermal processesC-APLB
DetailsT4-FGAThermco5200Thermal processesC-APLB
DetailsLäcksökarenPfeiferQualyTest HLT260Other processesC-SlussA
DetailsMasktvättUltra t Equipment Company, Inc.SCS 124LithographyC-Gul 1A
DetailsCryogenic ProbestationJanisST-500-UHTMetrologyN-MeasurementC
DetailsFTIR SpectrometerBrukerVortex 70 VMetrologyN-MeasurementC
DetailsPrometeus manualKarl Suss and Temptronic TermoChuck SystemManual Probestation PM 5, TP0314AMetrologyN-MeasurementB
DetailsLPE 106LPEPE106EpitaxyC-EpitaxyE
DetailsPrometeus autoKarl Suss and Temptronic TermoChuck SystemAutomatic Probestation PA 150 and TP03215B-3300-2MetrologyN-MeasurementC
DetailsRörtvättKTHhandmadeOther processesY-yttrelabA
DetailsWetb APLWet benchWet benchWet process benchesC-APLA
DetailsMegasonic bathPCTPCT 24 wet benchWet process benchesC-CMPA
DetailsSSECVeccoSSEC 3300Wet process benchesC-SPTSA
DetailsR/D 2VerteqVerteq 4"Wet process benchesC-EpitaxyA
DetailsWetb-Si epi-cleanWet bench CleanWet bench Clean and IMECWet process benchesC-EpitaxyA
DetailsW0607Wet bench HF cleanWet bench HF clean and HF dopedWet process benchesC-EpitaxyA
DetailsWetb Au developWet benchWet benchWet process benchesC-Gul 1A
DetailsR/D Gul1FSI PhoenixFSI Phoenix 4"Wet process benchesC-Gul 1A
DetailsWetb Si stripWet bench developerPhotoresist processing.Wet process benchesC-Gul 1A
DetailsSkivthkMitutoyoRDP transducer indicator E307-1MetrologyC-Wet ChemistryA
DetailsR/D doubleSemitoolSemitool Double 870SWet process benchesC-Wet ChemistryA
DetailsWetb Solvent cleanWet bench Substrate cleaningWet process benchesC-Wet ChemistryA
DetailsFH ServicePM plastFume hood for serviceWet process benchesC-Wet ChemistryA
DetailsWetb Al etchWet benchEtchingWet process benchesC-Wet ChemistryA
DetailsFH epi servicePM PlastDragskåp för epi rengöringWet process benchesC-Wet ChemistryA
DetailsFH wet chemistry-7PM PLastDragskåpWet process benchesC-Wet ChemistryA
DetailsFH wet chemistry-8PM PlastDragskåpWet process benchesC-Wet ChemistryA
DetailsFH wet chemistry-1PM PlastDragskåp solvent & acid (for service work)Wet process benchesC-Wet ChemistryA
DetailsR/D MetalsSemitoolSemitool 4" MetalsWet process benchesC-Wet ChemistryA
DetailsR/D GoldFSI PhoenixFSI Phoenix 4" Au/Lift-OffWet process benchesC-Wet ChemistryA
DetailsKemvåg??MetrologyC-Wet ChemistryA
DetailsFH wet chemistry-2 (solvent)PM PlastDragskåp solventWet process benchesC-Wet ChemistryA
DetailsFH wet chemistry-3PM PlastDragskåp solvent and acidWet process benchesC-Wet ChemistryA
DetailsFH wet chemistry-4PM PlastDragskåp solventWet process benchesC-Wet ChemistryA
DetailsFH wet chemistry-5 (solvent)PM PlastDragskåp solventWet process benchesC-Wet ChemistryA
DetailsFH wet chemistry-6PM PlastDragskåp solventWet process benchesC-Wet ChemistryA
DetailsWetb Si processWet bench oxideWet bench oxide,HF 1:10,BHF,HFmixWet process benchesC-Wet ChemistryA
DetailsWetb MEMSWet benchTMAH, HF, KOHWet process benchesC-Wet ChemistryA
DetailsWetb metalWet benchAu/metal etchWet process benchesC-Wet ChemistryA
DetailsWetb solventWet benchRemover, Vax, Au moduleWet process benchesC-Wet ChemistryA
DetailsM08 Leitz/cameraLeitzMicroscope with cameraSurface analysis & TEMC-Wet ChemistryA
DetailsM09 Nikon/cameraNikonMicrosocpe with cameraSurface analysis & TEMC-Wet ChemistryA
DetailsMS01 NikonNikonStereo microscope SMZ-2BSurface analysis & TEMC-Wet ChemistryA
DetailsLIMSElabAccessOther processesVirtualA
DetailsTesttool ElectrumElectrum labmodel 1MetrologyVirtualA
DetailsRoomRElabresponsibleOther processesVirtualA
DetailsRenrumElabAccessOther processesVirtualA
DetailsTesttoolTest AB eller OY eller LtdSpecialOther processesVirtualA
DetailsM14 Olympus/cameraOlympusBX60Surface analysis & TEMC-Si-metalA
DetailsMS02 NikonNikonStereo microscope SMZ-2TSurface analysis & TEMC-Coherent-WetA
DetailsM13 Nikon/cameraNikonNikonSurface analysis & TEMC-Coherent-WetA
DetailsAFM/SSRMVeeco/Digital InstrumentsNanoScope Dimension 3100MetrologyY-3283 Saw roomB
DetailsOpt. micr. 1, AlbanovaNikonME600Surface analysis & TEMAlbanova C1:3052A
DetailsOpt. micr. 2, AlbanovaNikonME600Surface analysis & TEMAlbanova C1:3052A
DetailsOpt. micr. 4, fluorescence, AlbanovaNikonME600 w. fluorescence unitSurface analysis & TEMAlbanova E1:1017AA
DetailsOpt. micr. 3, AlbanovaNikonEclipse L200Surface analysis & TEMAlbanova C1:3049A
DetailsMicrowave/THz Probe StationMSTMST LabMetrologyY-MSTB
DetailsZVA-24 Vector Network AnalyzerMSTMST LabMetrologyY-MSTD
DetailsRF Probe StationMSTMST LabMetrologyY-MSTA
DetailsDynatronix Pulse Power SupplyDynatronixDuPR10-.1-.3XRMetrologyY-MSTA
DetailsPXINational InstrumentsNI PXI-1033 SeriesMetrologyY-MSTA
DetailsMarianaSPTSRapierDry etchingC-SPTSD
DetailsAGMPrinceton Measurement Corporation2900-02 Alternating Gradient MagnetometerMetrologyY-yttrelabC
DetailsCIPTSmartipCIPTechMetrologyY-yttrelabC
DetailsSPM/AFM FastScan AlbanovaBrukerDimension FastScanMetrologyAlbanova E1:1019AC
DetailsSPM/AFM Nanowizard JPK AlbanovaJPK InstrumentsNanowizard 3 Bioscience AFMMetrologyAlbanova E1:1019AC
DetailsSPM/AFM Icon AlbanovaBrukerDimension IconMetrologyAlbanova E1:1019AC
DetailsAFM/SPM Nanow.JPK2 AlbanovaJPK InstrumentsNanowizard 3MetrologyAlbanova E1:1019AC
DetailsAFM/SPM CellKraft Humidifier AlbanovaCellKraftP2MetrologyAlbanova E1:1019AA
DetailsAFM/SPM IPS Bipotentiostat AlbanovaIPSPGU-BI 1000MetrologyAlbanova E1:1019AA
DetailsMicro Diamond Scriber, AlbanovaOEG GmbHMR-200Device mountingAlbanova C1:3049B
DetailsSmartPrint Maskless Litho AlbanovaSmartForce TechnologiesSmartPrintLithographyAlbanova C1:3049C
DetailsWoollam VASE AlbanovaJ.A. WoollamVASE EllipsometerMetrologyAlbanova C1:3049C
DetailsWire bonder AlbanovaKulicke - Soffa4523DDevice mountingAlbanova E1:1017AA
DetailsProfiler AlbanovaKLA-TencorP7MetrologyAlbanova C1:3052B
DetailsBond Tester DAGEDAGE2400PCMetrologyY-Pack labA
DetailsPLDNeocera IncNeocera Pioneer 180 UHV PLDThin film depositionY-Synth labsD
DetailsEmpyreanPANalytical B.V.Empyrean multipurpose high resolution X-ray diffraMetrologyY-XRD labC
DetailsVT STMOmicronOmicron VT-STMSurface analysis & TEMY-Mats-labA
DetailsSTM 1OmicronOmicron STM-1Surface analysis & TEMY-Mats-labA
DetailsUHV SPM 3500RHK TechnologyUHV SPM 3500Surface analysis & TEMY-Mats-labA
DetailsRaman Spectrometer HORIBA iHR 550HORIBA Jobin YvoniHR 550MetrologyY-Mats-labA
DetailsAJA Sputter AlbanovaAJA International Inc.OrionThin film depositionAlbanova E1:1017AD
DetailsAJA 3 Sputter AlbanovaAJA InternationalATC Orion-8Thin film depositionAlbanova E1:1017AD
DetailsFIB/SEM AlbanovaFEI CompanyNova 200Surface analysis & TEMAlbanova E1:1015AD
DetailsHelios 5 UC FIB/SEM AlbanovaFEIHelios 5 UC Surface analysis & TEMAlbanova E1:1015AD
DetailsAJA ion milling AlbanovaAJA international Inc.AJA ion millerDry etchingAlbanova E1:1017AD
DetailsAJA evaporator AlbanovaAJA international Inc.ATC1800Thin film depositionAlbanova E1:1017AD
DetailsDynaCool PPMS AlbanovaQuantum DesignDynacool MetrologyAlbanova C1:3068C
DetailsHallVarian/KeithlyIn-houseMetrologyY-HR X-rayB
DetailsObelixAixtronLP-VPE 2106EpitaxyC-Epi-III/VE
DetailsEvercool PPMS AlbanovaQuantum DesignEvercool IIMetrologyAlbanova C1:3068C
DetailsFs Laser system 1CoherentMira 900Other processesY-Optics 3168A
DetailsFs Laser system 2Coherent and APEChameleon Ultra II + APE Harmonics generatorOther processesY-Optics 3168A
DetailsScanning near-field optical microscope (SNOM)Max Born Institute with modifications at KTHA home-made instrument Surface analysis & TEMY-Optics 3168A
DetailsTGA/FTIR InterfaceThermo Scientific--Surface analysis & TEMAlbano Hus 3, 3133C
DetailsGas Gromatography /Mass Spectrometry (GC/MS)Hewlett PackardHP 6890MetrologyAlbano Hus 3, 3313C
DetailsInductively Coupled Plasma Emission Spectrometry (ICP-OES)Thermo ScientificiCAP 6500MetrologyAlbano Hus 3, 3313D
DetailsMulti vessel dip coating unitAoxicindiaXdip.MVISample prepAlbano Hus 3, 3313A
DetailsRotavapor BÜCHIR-205Sample prepAlbano Hus 3, 3313A
DetailsLight SoakerWavelabsWavelabsOther processesAlbano Hus 3, 3313A
DetailsCentrifuge Z 200 AHERMLEZ 200 A Sample prepAlbano Hus 3, 3313A
DetailsCentrifuge Z 323HERMLEZ 323Device mountingAlbano Hus 3, 3313A
DetailsBox furnaceCARBOLITECWF 1200Thermal processesAlbano Hus 3, 3315A
DetailsOptical microscope (Leica)LEICA DMLMLeicaSample prepAlbano Hus 3, 3315A
DetailsIon Chromatography (IC)MetrohmEco ICMetrologyAlbano Hus 3, 3315C
DetailsFourier Transform Infrared (FTIR)Thermo ScientificNicolet iS10MetrologyAlbano Hus 3, 3315B
DetailsFluorescence Spectrometer (PL)Perkin ElmerLS55MetrologyAlbano Hus 3, 3315B
DetailsParticle sizer-DLS/Zeta potentialBeckman CoulterDelsa NanoMetrologyAlbano Hus 3, 3315C
DetailsRotating Tube FurnaceHeraeusthermicon PThermal processesAlbano Hus 3, 3314A
DetailsVacuum Oven B&HBuch & HalmVacuceIIThermal processesAlbano Hus 3, 3314A
DetailsUEMJEOL JEM-2100Ultrafast Electron MicroscopySurface analysis & TEMY-SEM labsE
DetailsCMPIPEC / AxusAvanti 472Other processesC-CMPD
DetailsCnCVSEMILAB210MetrologyC-EpitaxyB
DetailsSentaurusSynopsysR-2020.09Other processesVirtualA
DetailsSR2000N Sheet ResistanceMDCSR2000NMetrologyC-AnnealA
DetailsDektakXT Stylus ProfilometerBrukerDektakXTMetrologyC-AnnealB
DetailsBergman Labora Inspection MicroscopeNikonLMetrologyC-SPTSB
DetailsBergman Labora NIS-ComputerIntelIntel Xeon 2245 3.9GHz, Nvidia Quadro RTX5000 8GBMetrologyC-SPTSA
DetailsULVAC photoresist stripperULVACENVIRO-1XaLithographyC-APLD
DetailsNikon Microphot-FXANikonMicrophot-FXAOther processesY-MSTB
DetailsTheta LiteMSTMST labSurface analysis & TEMY-MSTB
DetailsSpeed mixerMSTMST labOther processesY-MSTB
DetailsCNC-milling machineMinitechMini-MillOther processesY-MSTB
DetailsGammaSuss MicrotecGamma 4MLithographyC-APL-ALSC
DetailsCritical Point Dryer AlbanovaLeicaEM CPD 300Other processesAlbanova C1:3052D
DetailsRIE ICP O2/AR AlbanovaOxfordPlasmalab 80+Dry etchingAlbanova C1:3052D
DetailsCryo RIE AlbanovaOxfordPlasmalab 100Dry etchingAlbanova C1:3052D
DetailsEbeam litho AlbanovaRaith GmbHRaith VoyagerLithographyAlbanova E1:1015AE
DetailsEdwards Auto 306 AlbanovaEdwardsAuto306 with FL400 chamberThin film depositionAlbanova C1:3052D
DetailsBeamer computer AlbanovaGeniSys GmbHVersion 5.90LithographyAlbanova E1:1015AC
DetailsCobra ICP-RIE AlbanovaOxford InstrumentsPlasmapro 100 Cobra 300Dry etchingAlbanova C1:3052D
DetailsAnnealer vacuum AlbanovaMoorfieldAnnealThermal processesAlbanova C1:3052D
DetailsMLA150 AlbanovaHeidelberg Instruments GmbHMLA150LithographyAlbanova C1:3049D
DetailsBragePM PlastFume hoodWet process benchesPronano S:102B
DetailsNannaPM PlastFume hoodWet process benchesPronano S:102B
DetailsFrejAixtronFlip Top CCS MOVPE System EpitaxyPronano A:108E
DetailsNapoleonAixtronVP508GFR SiC epiEpitaxyC-CoherentE
DetailsuFAB Femtosecond LaserMSTMST labOther processesY-MSTA
DetailsFormlabs SLA printer 1FormlabsForm 3Other processesY-MSTA
DetailsFormlabs SLA printer 2FormlabsForm 3Other processesY-MSTA
Details5175 DS-1burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
DetailsWafer Inspection SystemAeronca Electronics (Ceased), EstekWIS150Surface analysis & TEMC-SPTSC
Details5175 DS-2burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
Details5175 DS-3burdinolaOR-ST 1500Wet process benchesY-External chemistry labA
DetailsParoteq Bonder AlbanovaParoteq GmbHH-systemDevice mountingAlbanova E1:1017AC
DetailsNanoscribeNanoscribe3D Microfabrication System Photonic Professional GOther processesY-MSTD
DetailsKeithley ParameteranalyserTektronix4200A-SCSMetrologyY-MSTA
DetailsOptical profilometerVeecoWyko NT9300MetrologyC-AnnealB
DetailsFrejaHitachiSU8230Surface analysis & TEMPronano A:109C
DetailsEDSHitachiSU8230Surface analysis & TEMPronano A:109D
DetailsCPDtousimisAutomegasamdris-938Other processesC-Wet ChemistryB
DetailsOrbis, Vapor HF etchmemsstarOrbis AlphaDry etchingC-SPTSC
DetailsAJA 2 Sputter AlbanovaAJA International Inc.OrionThin film depositionAlbanova C1:3052D
DetailsCO2 LaserUniversal Laser Inc.VSL 2.3Other processesY-MSTB

 

2020-06-30 Quality group (P)